Share Info With Your Friends

       
   

VHDL Implementation and Codeing of 2-bit Vedic Multiplier

The basic Gate level Diagram and More General Diagram Can be drawn as shown Below with some blocks:-




Code for 2-bit Vedic Multiplier is shown Below:-


----------------------------------------------------------------------------------
-- Company: VHDL Language
-- Engineer: Manohar Mohanta
--
-- Create Date:    20:17:34 12/25/2016
-- Design Name:     Vedic Multiplier
-- Module Name:    Vedic_Multiplier_2bits - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity Vedic_Multiplier_2bits is
    Port ( a : in  STD_LOGIC_VECTOR (1 downto 0);
           b : in  STD_LOGIC_VECTOR (1 downto 0);
           c : out  STD_LOGIC_VECTOR (3 downto 0));
end Vedic_Multiplier_2bits;

architecture Behavioral of Vedic_Multiplier_2bits is

signal s1: STD_LOGIC;
signal s2: STD_LOGIC;
signal s3: STD_LOGIC;
signal s4: STD_LOGIC;

begin
c(0)<= a(0) and b(0);
s1<=a(1) and b(0);
s2<= a(0) and b(1);
c(1)<= s1 xor s2;
s3<= s1 and s2;
s4<= a(1) and b(1);
c(2)<= s3 xor s4;
c(3)<= s3 and s4;
end Behavioral;


Below is the Video Explaining The Concept:-


Previous
Next Post »

8 comments

Write comments
Harshitha
AUTHOR
December 26, 2017 at 6:14 AM delete

actually i need to implement code for 24 bit vedic multiplier ....i need test bench for 8 bit vedic multiplier.can you please provide it for me

Reply
avatar
December 26, 2017 at 8:37 AM delete

Yes we can provide 8bit test bench for that but to implement 24bits we charge

Reply
avatar
Unknown
AUTHOR
February 19, 2018 at 4:03 AM delete

can you provide test bench fr 8 bit? please mail at gg.bb19@gmail.com

Reply
avatar
February 19, 2018 at 5:17 AM delete

http://www.projects.ytvdgrabber.com/shop/vhdl-projects/vhdl-implementation-high-speed-8-bit-vedic-multiplier/

Reply
avatar
Unknown
AUTHOR
March 7, 2019 at 6:08 PM delete

2 bit _vedic multiplier using half adders code pleased

Reply
avatar
Unknown
AUTHOR
January 27, 2020 at 9:08 AM delete

How can I contact you ?

Reply
avatar
Unknown
AUTHOR
March 23, 2020 at 10:47 PM delete

Sir can u send test bench code

Reply
avatar
Unknown
AUTHOR
July 17, 2021 at 9:52 PM delete

can i get the test bench code

Reply
avatar

Thanks for your feed back we will soon reply you EmoticonEmoticon